世俱杯 2025

当前位置:维库电子市场网>IC>carry 更新时间:2025-05-25 11:58:20

carry供应商优质现货

更多>
  • 供应商
  • 产品型号
  • 服务标识
  • 数量
  • 厂商
  • 封装/批号
  • 说明
  • 询价
  • carry

  • BOM配单
  • 一级代理,原装正品,账期支持,终端通让采购更放心!

  • 上传BOM

  • CARRY

  • 48000

  • KTC

  • TQFP128/24+

  • 原装现货,可开专票,提供账期服务

  • CARRY

  • 41101

  • KTC

  • TQFP128/-

  • 大量现货,提供一站式配单服务

  • CARRY

  • 3588

  • -

  • -/-

  • 原装 部分现货量大期货

  • CARRY

  • 1068

  • KTC

  • TQFP128/23+

  • 只售全新原装

  • CARRY

  • 65286

  • KTC

  • -/21+

  • 全新原装现货,长期供应,免费送样

  • CARRY

  • 141548

  • KTC

  • TQFP128/80341+

  • 原装现货,可提供一站式配套服务

carryPDF下载地址

carry价格行情

更多>

历史最低报价:¥0.0000 历史最高报价:¥0.0000 历史平均报价:¥0.0000

carry中文资料

  • 用VHDL语言在CPLD上实现串行通信(图)

    构及内容 本设计所采用的是异步通信方式,可以规定传输的一个数据是10位,其中最低位为启动位(逻辑0低电平),最高位为停止位(逻辑1高电平),中间8位是数据位。为了方便对数据进行正确控制,选取发送(接受)每位数据用4个时钟周期。为了能够达到串行通信的波特率,例如4800b/s,则需把时钟频率设为19.2khz。系统结构如图1所示: 系统原理 首先介绍串行通信发送器的工作原理。6位计数器用于判断发送的数据是否发送完毕及在发送完毕后装入新的数据,其vhdl语言程序如下。process(carry)beginif carry'event and carry='1'thenif counter40="100111"thenload<='1';counter40<="000000";else counter40<=counter40+1;load<='0';end if;end if;end process; 由于本设计中选取一位数据4个时钟周期,因此当计数到“100111”时,表示10位数据发送完毕;此时将加载信号“load”置1,则向移位寄存器加载10位数据

  • PIC 滚动码的解码程序

    e 2 high index bits to look up the value in the table ; use the bit mask to isolate the correct bit in the byte ; part of the reason for this scheme is because normal table ; lookup requires an additional stack level bcf status,c ; clear carry (for the left shift) movlw .1 ; initialise mask = 1 btfsc hop3,3 ; shift mask 4x if bit 2 set movlw b'00010000' ; shift mask 4x if bit 2 set movwf mask ; initialise mask = 1 btfss hop2,0 ; shi

  • CD系列数字芯片

    2-input nand buffered 四个双输入与非缓冲门cd4012 dual 4-input nor gate 二个四输入与非门cd4013 dual d flip-flop 双d触发器cd4016 quad bilateral switch 四个双向开关cd4017 decade counter/divider with 10 decade outputs 十进制计数器cd4020 14-stage ripple carry binary counters 二进制计数器mc14020 14-stage ripple carry binary counters 二进制计数器cd4022 divide by 8 counter/divider with 8 decaded output 除8计数器cd4040 12-stage ripple carry binary counters 二进制计数器mc14040 12-stage ripple carry binary

  • 基于全数字锁相环的设计

    鉴相器。异或门鉴相器比较输入信号fin相位和输出信号fout相位之间的相位差фe=фin-фout,并输出误差信号se作为k变模可逆计数器的计数方向信号。环路锁定时,se为一占空比50%的方波,此时的绝对相为差为90°。因此异或门鉴相器相位差极限为±90°。 k变模可逆计数器 k变模可逆计数器消除了鉴相器输出的相位差信号se中的高频成分,保证环路的性能稳定。k变模可逆计数器根据相差信号se来进行加减运算。当se为低电平时,计数器进行加运算,如果相加的结果达到预设的模值,则输出一个进位脉冲信号carry给脉冲加减电路;当se为高电平时,计数器进行减运算,如果结果为零,则输出一个借位脉冲信号borrow给脉冲加减电路。 脉冲加减电路 脉冲加减电路实现了对输入信号频率和相位的跟踪和调整,最终使输出信号锁定在输入信号的频率和信号上。除n计数器 除n计数器对脉冲加减电路的输出idout再进行n分频,得到整个环路的输出信号fout。同时,因为fc=idclock/2n,因此通过改变分频值n可以得到不同的环路中心频率fc。 dpll部件的设计实现 了解了dpll的工作原理,我们就可以据此对dpll

  • HDL模块指南

    tionudp instantiationcontinuous assignmentendmodule 说明部分用于定义不同的项,例如模块描述中使用的寄存器和参数。语句定义设计的功能和结构。说明部分和语句可以散布在模块中的任何地方;但是变量、寄存器、线网和参数等的说明部分必须在使用前出现。为了使模块描述清晰和具有良好的可读性, 最好将所有的说明部分放在语句前。本书中的所有实例都遵守这一规范。 以下为建模一个半加器电路的模块的简单实例。module halfadder (a, b, sum, carry);input a, b;output sum, carry;assign #2 sum = a ^ b;assign #5 carry = a & b;endmodule 模块的名字是halfadder。 模块有4个端口: 两个输入端口a和b,两个输出端口sum和carry。由于没有定义端口的位数, 所有端口大小都为1位;同时, 由于没有各端口的数据类型说明, 这四个端口都是线网数据类型。模块包含两条描述半加器数据流行为的连续赋值语句。从这种意义上讲,这些语句在模块中出现的顺序无关紧

  • 英特尔IDF大会报道:采用CMOS工艺实现无线芯片的小型和集成化

    在2008英特尔春季开发商论坛(intel devloper forum spring 2008)开幕前一天的记者说明会上,第三位演讲的英特尔院士兼通信电路研究实验室总监krishnamurthy soumyanath承接之前两位演讲人介绍的“carry small,live large”的方向,表示:“我是做芯片的,对怎样深入芯片很关心”。 为了实现carry small,“我们必须比以往更加关注形状因素。现在,微处理器一般与芯片组是分开的,因此加入无线网络予以支持。将来,这些都应该集成到一个芯片之中,并进而实现soc(片上系统)嵌入”。达到这一目标需要三个技术突破:(1)确立包括无线在内的架构;(2)通过cmos工艺利用无线技术;(3)无线设计的根本性改革。这些可望通过32nm以后的工艺实现。 作为统合多项无线技术的架构,英特尔正在研究利用数字技术强化无线。“使模拟无线接收器简单化,通过使用高精度的a-d转换器和数字合成器,高效支持各种无线技术将成为可能”。 具体研究成果方面,英特尔首先试制了支持ieee 802.11n和wimax的a-d转换器。该转换器可以动态改变

  • 利用自旋电子学技术实现待机时零耗电的集成电路

    路需要另外配备运算电路和内存电路,因此运算逻辑部分与内存部分之间的数据传送会出现延迟,并且存在着由此引发的高耗电问题。另外,由于mos晶体管本身不具有存储功能,因此组合使用了mos晶体管的内存电路,所以为保持存储数据需要一直通电。此前一直存在着电路规模大和泄漏电流导致的待机耗电增加的问题。解决这些问题的方法包括,采用将运算逻辑部分和内存部分紧密配置在一起的“logic in memory architecture”,同时把内存部分改为非易失性内存。 此次试制的芯片为全加器。由“sum”和“carry”部分构成,芯片的面积方面,sum部分为15.5μm×10.7μm,carry部分为13.9μm×10.7μm。cmos逻辑部分采用日立制作所的0.18μm工艺制成。 此次成果已刊登在日本应用物理学会杂志“applied physics express”的2008年8月22日电子版上。 欢迎转载,信息来自维库电子市场网(tgdrjb.cn)

  • Intel宣布移动互联新策略

    领40%的笔记本市场份额。在大概18个月时间里完成这些变化,这可是一个非常激进的数字。 华硕ultrabook样机现场展示 当天稍后时间,英特尔负责pc产品的副总裁邓慕理进一步明确了ultrabook的革命性。他说1995年英特尔奔腾产品的出现,让cd光盘驱动器和多媒体能力成为了重新定义pc的标准。而在8年后的2003年迅驰产品的推出,用无线网络、更好的性能以及更长的电池时间重新定义了笔记本。又过了8年,2011年的ultrabook将重新定义个人计算,这种超轻薄的产品会让移动用户carry less and do more(拿得更少,做得更多)。 ultrabook现场视频演示 当前,在平板电脑和笔记本电脑之间的确存在着一条沟壑,虽然有以macbook air为代表的一些超轻薄笔记本产品,但是它们性能表现平平,价格也让普通用户难以接受。如果英特尔能实现ultrabook的许诺(实际上华硕在现场展示了一台ultrabook的样机),相信很多笔记本电脑用户将会快速转移到ultrabook,而不必要再面临外出时需要同时携带平板电脑和笔记本电脑的窘境。 支持ultrab

  • 英特尔芯片未来技术:探索移动小设备投影大屏幕

    在准备演示耗电量只有8毫瓦(1毫瓦等于千分之一瓦)的第二代混合硅激光技术。英特尔已经制造了一种单片芯片,允许工程师向这个芯片集成其它光子和电子组件。上一代芯片不允许进行这样的集成。如果一切都按英特尔的计划进行,光子技术将在2010年左右进入商业市场。 英特尔正在探索的另一个具有真正的商业潜力的领域是开发能够为用户提供全面的互联网体验和允许不同的设备之间更好地进行通讯的移动设备。 rattner说,目前的显示屏太小,不能为用户提供展现丰富的互联网功能的画面。英特尔正在开发的是一种称作“carry small, live large”(轻装上阵、畅享生活)的平台。这个平台将消除移动性与全面、丰富的大屏幕体验之间的差距。 rattner说,我们要做的事情是为小设备提供拥有大型体验的功能。这包括让这些小型设备与拥有传统的存储和计算资源的大型显示屏无线连接起来。 rattner还介绍了一种名为混合管理器的技术,允许不同的设备(ipod、iphone、gps等)交换有关自己能力的信息,如计算能力、存储和i/o。这个技术将允许一台ipod或者其它mp3音乐播放机插入到汽车的插座中,为前

  • 摆脱SD卡高额版权费用,太湾地区新型高速存储卡即将面世

    户身份模块卡以方便移动电话设计。最终micard的吞吐率将达到120mb/s,但内部的nand芯片接口是瓶颈所在。 台湾地区工业技术研究院三年前开始设计,主要的目标是摆脱sd卡6%的版权费用。当然,该卡的成功与否还未可知。台湾地区虽然在存储卡产业具有很强的能力,但消费者才是最终的决定方。目前已经有8种卡,只有喜爱技术的消费者才会采用与usb兼容各式的方便之处,市场营销是关键所在,但这并不是台湾地区厂商的强项。 已经承诺支持这种卡的公司包括a-data、benq corp、carry computer eng、c-one technology corp、dbtel inc、power digital card co.和richip inc。

  • 典型的四路信号交替显示电路图

    典型的四路信号交替显示电路 如图为典型的四路信号交替显示电路图。该电路中ic cd4017是5位johnson计算器,具有10个译码器输出端。cd4017内部是除10的计算器及二进制对10进制译码电路。它有16支管脚,除电源脚vdd及vss为电源接脚,输入电压范围3~15v之外,其余管脚为a:频率输入脚;b:数据输出脚;a:q1-q9,被计数到的值,其输出为hi,其余为lo电位。b:carry out(pin12),进位脚。d:控制脚:a.clear(pin15):清除脚或称复位脚。b.clock enable(p13),时序允许脚。 来源:admin

  • 帮我看看这个程序是什么语言写的?

    /* *//* four bit adder using the cupl function statement. *//* *//* 4-bit asynchronous adder implemented as a ripple-carry *//* through four adder-slice circuits. each adder-slice *//* takes a pair of 1-bit numbers (xi, yi) and the carry from *//* a previous slice (cin) and produces their 1-bit sum (zi) *//* and carry (cout). each adder-slice circ

  • 郁闷 端口buffer

    index : buffer std_logic_vector(8 downto 0));end kuis_1;architecture behavioral of kuis_1 isfunction adder9 ( a:std_logic_vector; b:std_logic_vector ) return std_logic_vector isvariable vsum : std_logic_vector(8 downto 0);variable carry : std_logic;variable i:integer;begin carry :='0'; for i in 0 to 8 loop vsum(i):= (a(i) xor b(i)) xor carry; carry := (a(i) and b(i)) or (carry and (a(i) or b(i))); end loop; return vsum;end ad

  • 中文版Verilog HDL简明教程

    ule 说明部分用于定义不同的项,例如模块描述中使用的寄存器和参数。语句定义设计的功能和结构。说明部分和语句可以散布在模块中的任何地方;但是变量、寄存器、线网和参数等的说明部分必须在使用前出现。为了使模块描述清晰和具有良好的可读性, 最好将所有的说明部分放在语句前。本书中的所有实例都遵守这一规范。 以下为建模一个半加器电路的模块的简单实例。 module halfadder (a, b, sum, carry); input a, b; output sum, carry; assign #2 sum = a ^ b; assign #5 carry = a & b; endmodule 模块的名字是halfadder。 模块有4个端口: 两个输入端口a和b,两个输出端口sum和carry。由于没有定义端口的位数, 所有端口大小都为1

  • [转帖]MCS-51单片机指令系统集(英文注释)

    器ram和特殊功能寄存器sfr的数据进行传送;movc(move code)读取程序存储器数据表格的数据传送;movx (move external ram) 对外部ram的数据传送;xch (exchange) 字节交换;xchd (exchange low-order digit) 低半字节交换;push (push onto stack) 入栈;pop (pop from stack) 出栈;(2)算术运算类指令(8种助记符)add(addition) 加法;addc(add with carry) 带进位加法;subb(subtract with borrow) 带借位减法;da(decimal adjust) 十进制调整;inc(increment) 加1;dec(decrement) 减1;mul(multiplication、multiply) 乘法;div(division、divide) 除法;(3)逻辑运算类指令(10种助记符)anl(and logic) 逻辑与;orl(or logic) 逻辑或;xrl(exclusive-or logic) 逻辑异或;clr(clea

  • 今天装了一个GCC,编译时少了一个LINK文件....

    datatime.sec < 59) sysdatatime.sec ++;+00000083: 9180006b lds r24,0x006b load direct from data space+00000085: 338b cpi r24,0x3b compare with immediate+00000086: f420 brcc pc+0x05 branch if carry cleared89: if (sysdatatime.sec < 59) sysdatatime.sec ++;+00000087: 5f8f subi r24,0xff subtract immediate+00000088: 9380006b sts 0x006b,r24 store direct to data space+0000008a: c017 rjmp pc+0x

carry替代型号

CARR CARP CARO CARLOS CARI CARDIN CARB CARA CAPSTONE CAPS

CARSEM CASE CASH CASI CASN CASO CASR CASTOR CAT1021 CAT1023WI-30

相关搜索:
carry相关热门型号
CY2308SC-1 CZTA44 CAT4139TD-GT3 CRZ16 CD4051BCMX CD40174BE CY2309SC-1H CP2056LQ CD74ACT245M96 CAT812STBI-GT3

快速导航


发布求购

我要上传PDF

* 型号
*PDF文件
*厂商
描述
验证
按住滑块,拖拽到最右边
OEM清单文件: OEM清单文件
*公司名:
*联系人:
*手机号码:
QQ:
有效期:

扫码下载APP,
 一键连接广大的电子世界。

在线人工客服

买家服务:
卖家服务:
技术客服:

0571-85317607

网站技术支持

13606545031

客服在线时间周一至周五
 9:00-17:30

关注官方微信号,
第一时间获取资讯。

建议反馈
返回顶部

建议反馈

联系人:

联系方式:

按住滑块,拖拽到最右边
>>
感谢您向阿库提出的宝贵意见,您的参与是维库提升服务的动力!意见一经采纳,将有感恩红包奉上哦!